Design Verification Course
Master the intricacies of Design Verification in our postgraduate course, gaining expertise in industry-standard tools and methodologies to ensure the flawless functionality of complex VLSI designs.
1 year course for college graduates Hybrid - Online/Offline Job Guaranteed Industrial Tool Project based learning

Dive into a transformative one-year journey designed exclusively for recent graduates, focusing on domains across VLSI. Our intensive program is laser-focused on catapulting you into the workforce with a guaranteed job placement. Immerse yourself in hands-on learning with industry-standard tools, mastering Digital Design, Design Verification, Physical Design, AMS Design and Layouts. Our commitment extends beyond training, guiding you through dynamic projects and providing coveted internships, all culminating in a guaranteed job placement. Elevate your career with us, where every aspect of the program is meticulously crafted to ensure your success in the industry.

Description

Holistic Verification Methodologies: Delve into all-encompassing verification techniques covering simulation, hardware emulation, and the specialized field of System-on-Chip (SoC) verification. Develop a profound understanding of dynamic and static verification methods to ensure the robustness of intricate VLSI designs.

Advanced Simulation Tools: Master industry-standard simulation tools, emphasizing their application in verifying the functionality, performance, and power aspects of VLSI designs. Acquire proficiency in SystemVerilog and UVM to implement and automate testbenches, ensuring exhaustive testing and validation.

SoC Verification Strategies: Focus on System-on-Chip (SoC) verification techniques, exploring methodologies tailored to the unique challenges of integrated systems. Gain insights into verifying complex interactions between multiple IP cores, ensuring the seamless functionality of the complete system.

Modules

Module 0
  • ASIC Design Flow
  • Introduction to Verification
  • Verification Flow
  • Testbench Architecture
  • Verification Plan
Module 1 - Verification With Verilog

Verification with Verilog is an essential part of digital design, especially when working with field-programmable gate arrays (FPGAs) and application-specific integrated circuits (ASICs). Verilog is a hardware description language used for modeling and simulating digital systems, making it a valuable tool for verifying the functionality of your digital designs.

Module 1.1 - Introduction to System Verilog

System Verilog is an extension of the Verilog hardware description language, and it's designed to support both hardware design and verification. It incorporates features for modeling complex digital systems, allowing engineers to describe not only the structure of a design but also its behavior.

Module 2 - System Verilog Basics & Data Types

It involves modules with input and output ports, always and initial blocks for behavior definition, and a choice of blocking and non-blocking assignments. It supports various data types, including bit-vectors, integers, reals, enums, arrays, structures, and classes, making it well-suited for both digital design and verification tasks. Understanding these fundamentals and data types is essential for effectively modeling and verifying digital systems using System Verilog.

Module 3 - Interface & Interprocess Communications

Need for Interface, Interface ports, mod ports, clocking, blocks, procedural blocks, Creating Instances, Connecting, DUT and TB via Interfaces.

Fork-join and its controls, Semaphore, Mailbox.

Module 4 - Riding SV on Chariot of OOPs

It encompasses OOP features such as classes and objects, enabling efficient and organized modeling of complex digital designs. With SystemVerilog, engineers can create modular, reusable components, use inheritance to extend functionality, and leverage polymorphism to enhance design and verification capabilities. This integration of OOP concepts in SystemVerilog fosters a structured and maintainable approach to developing and verifying digital systems, making it an invaluable tool for the ever-evolving field of hardware design and verification.

Module 5 - Randomization & Constraints

Randomization allows you to generate random test stimuli, fostering a more comprehensive testing approach. Constraints, on the other hand, define limitations or conditions on the randomized values, ensuring they adhere to specific requirements. By combining randomization with constraints, you can systematically explore various scenarios and corner cases, improving the effectiveness of your verification efforts. These features are particularly valuable in verifying complex digital designs and helping to uncover hard-to-find bugs by stressing the design with diverse and realistic inputs.

Module 6 - Introduction to UVM

The Universal Verification Methodology (UVM) is a standardized and widely adopted framework in the field of electronic design and verification. UVM provides a robust and systematic approach to creating advanced verification environments for digital designs. It offers a set of libraries, guidelines, and methodologies that help engineers design and implement scalable and reusable testbenches, making the process of verifying complex systems more efficient and effective. UVM promotes best practices, such as constrained-random stimulus generation, coverage-driven testing, and advanced debugging techniques, leading to higher quality and more reliable digital designs.

Module 7 - UVM Testbench Architecture

The Universal Verification Methodology (UVM) testbench architecture is a standardized framework for creating robust and efficient verification environments in SystemVerilog. It's built on an object-oriented paradigm and provides reusable components to simplify testbench development. The architecture consists of key elements like the Test, Sequence, Driver, Monitor, and Scoreboard. Testbenches are created by composing these elements, allowing for easy integration of test scenarios, stimulus generation, response monitoring, and result checking. UVM promotes best practices in verification, ensuring scalability and maintainability in complex digital design projects.

Module 8 - Verification Component/Architecture Development

Key components include sequencers, drivers, monitors, scoreboards, and agents. Sequencers are responsible for generating sequences of transactions that are sent to the DUT (Design Under Test), and drivers execute these transactions by driving signals into the DUT. Monitors capture and check DUT activity, while scoreboards track and verify expected and observed results. Agents act as intermediaries, connecting sequencers, drivers, and monitors.

Module 9 - SoC Verification

SoC Verification involves validating integrated circuits with multiple IPs on a single chip. Engineers learn to design testbenches for functional and performance verification, ensuring correct operation and meeting speed requirements. Mastery of methodologies like UVM and formal verification is crucial for efficient testing. A deep understanding of SoC architecture, protocols, and communication is essential to detect and resolve issues early in the design cycle.

Module 10 - Projects

Industry standard projects based on the concepts learnt in the previous modules.

Meet the course instructor

Firstname Lastname
Position
Amet, aliquam id diam maecenas ultricies mi eget mauris pharetra et ultrices neque ornare aenean euismod elementum nisi, quis eleifend quam adipiscing vitae proin sagittis?

Interested to know more? Get in touch